NCKUEE Faculty Data
Chinese Version
Professor Ricky Wenkuei Chuang
Address
EE Building 10F R92A09
Email
TEL
+886-6-2757575 ext.62397
Lab
Physical Optics Lab
(R92A35/ext.62400-1266)
Background
Educations
1993
Bachelor of Science in Electrical and Computer Engineering, The Johns Hopkins University
1996
Master of Science in Materials Science and Engineering, University of Southern California
1997
Master of Science in Electrical Engineering, University of Southern California
2001
Doctor of Philosophy in Materials Science and Engineering, University of California, Irvine
Experiences
08/2020 - now
Associate Vice President for International Affairs,National Cheng Kung University, Tainan, Taiwan
08/2017 - 07/2020
Director of Institute of Microelectronics, National Cheng Kung University, Tainan, Taiwan
12/2016 - now
Professor, Department of Electrical Engineering and Institute of Microelectronics, National Cheng Kung University, Tainan, Taiwan
08/2007 - 12/2016
Associate Professor, Department of Electrical Engineering and Institute of Microelectronics, National Cheng Kung University, Tainan, Taiwan
08/2008 - 01/2015
Group Leader of Common Lab Core Facility, Center for Micro/Nano Science and Technology ,National Cheng Kung University, Tainan, Taiwan
02/2003 - 07/2007
Assistant Professor, Department of Electrical Engineering and Institute of Microelectronics, National Cheng Kung University, Tainan, Taiwan
01/2002 - 01/2003
Postdoctoral Researcher, NASA Jet propulsion Laboratory, California Institute of Technology, Pasadena, CA, U.S.A.
03/2001 - 12/2001
Academic Part-Time, NASA Jet propulsion Laboratory, California Institute of Technology, Pasadena, CA, U.S.A.
06/1997 - 12/1997
Intern as Process Engineer, Rockwell Semiconductor System
08/2008 - 01/2015
Group Leader of Common Lab Core Facility, Center for Micro/Nano Science and Technology ,National Cheng Kung University, Tainan, Taiwan
Specialities
  • Integrated optics devicesSecond-order and third-order nonlinear optical waveguide devices
  • 1. Active components: electro-optic modulators and switches based on lithium niobate (LiNbO3) and silicon
  • 2. Passive components: gratings, splitters and attenuators waveguide
  • Silicon and compound semiconductor devices
  • 1. Active components: high speed or high power transistors and corresponding circuit modules development based on silicon/SiGe and III-nitrides
  • 2. Passive components: photocells and solar cells based on silicon and III-V semiconductors
  • LiNbO3 devices based on domain inversion engineering
  • Other interesting devices that utilize the light-matter interaction
Publication
Journal
more
less
  1. Ricky W. Chuang, Chung-Chieh Shih, and Cheng-Liang Huang, “The enhanced electrode-dependent resistive random access memory based on BiFeO3,” Applied Physics A: Materials Science & Processing, Volume 129, issue 5, Article number: 329, May 2023.
  2. Ricky Wenkuei Chuang, Yu-Hsin Huang, and Tsung-Han Tsai, “Germanium-Tin (GeSn) Metal-Semiconductor-Metal (MSM) Near-Infrared Photodetectors,” Micromachines, Volume 13(10), pp. 1733, 14 October 2022.
  3. Ricky Wenkuei Chuang, Bo-Liang Liu, and Cheng-Liang Huang, “The Spectral Response of the Dual Microdisk Resonator Based on BaTiO3 Resistive Random Access Memory,” Micromachines, Volume 13(8), pp. 1175, 26 July 2022.
  4. Yu-Chun Huang, Ricky Wenkuei Chuang, Keh-Moh Lin, and Tsung-Chieh Wu, “Study the Passivation Characteristics of Microwave Annealing Applied to APALD Deposited Al2O3 Thin Film,” Coatings, Volume 11(12), pp. 1450, 25 November 2021.
  5. [1]               Yu-Chun Huang and Ricky Wenkuei Chuang, “Study on Annealing Process of Aluminum Oxide Passivation Layer for PERC Solar Cells,” Coatings, Volume 11(9), pp. 1052, 2021.
  6. Hsieh, Wei-Kang; Chuang, Ricky W.; Chang, Shoou-Jinn, “Two-bit-per-cell resistive switching memory device with a Ti/MgZnO/Pt structure,” RSC ADVANCES,Vol.5, No. 107 pp.88166-88170, 2015.
  7. Peng-Yin Su, Chuang, R.-W., Chin-Hsiang Chen and Tsung-Hsien Kao, “Low-frequency noise properties of metal-organic-metal ultraviolet sensors,” Japanese Journal of Applied Physics, Vol. 54, pp. 04DK12, April 2015.
  8. Ricky W. Chuang and Mao-Teng Hsu, “Dense Multi-Channel Optical Waveguide Switch Based on Micro Ring Resonators,” IEEE/OSA Journal of Lightwave Technology, Vol. 32, No. 8, pp. 1570-1577, April 15, 2014.
  9. Ricky W. Chuang, Mao-Teng Hsu, and Guo-Shian Wang, “Long-Period Waveguide Gratings with Amorphous silicon Cladding Layer on Silicon-on-Insulator (SOI) Substrates Realized by Anisotropic Wet Etching,” Japanese Journal of Applied Physics, Vol. 53, pp. 04EG15, 2014.
  10. Zhen-Da Huang, Ricky Wenkuei Chuang, Wen-Yin Weng, Shoou-Jinn Chang, Chiu-JungChiu,and San-Lein Wu, “GaN Schottky Barrier Photodetectors with a β-Ga2O3 Cap Layer,” Applied Physics Express, Vol. 5, pp. 116701 (3 pages), 2012.
  11. Yu-Yao Lin, Ricky W. Chuang, Shoou-Jinn Chang, Shuguang Li, Zhi-Yong Jiao, Tsun-KaiKo, S. J. Hon, and C. H. Liu, “GaN-Based LEDs With a Chirped Multiquantum BarrierStructure,”IEEE Photonics Technology Letters, Vol. 24, No. 18, pp. 1600-1602, September 15, 2012.
  12. Tzung-Han Wu, Ricky Wenkuei Chuang, Chun-Yuan Huang, Chiao-Yang Cheng, Chun-Yen Huang, Yi-Chieh Lin, and Yan-Kuin Su, “ZnO Nanoneedles/ZnO:Al Film Stack as an Anti-Reflection Layer for High Efficiency Triple Junction Solar Cell,” Electrochemical and Solid-State Letters, Vol. 15(6), pp. H208-H210, 2012.
  13. R. W. Chuang, M.-T. Hsu, Y.-C. Chang, Y.-J. Lee, and S.-H. Chou, “Integrated multimode interference coupler-based Mach-Zehnder interferometric modulator fabricated on a silicon-on-insulator substrate,” IET Optoelectronics, Vol. 6, Issue 3, pp. 147-152, 2012.
  14. Ricky W. Chuang, Mao-Teng Hsu, Shen-Horng Chou, and Yao-Jen Lee, “Silicon Mach-Zehnder Waveguide Interferometer on Silicon-on-Silicon (SOS) Substrate Incorporating the Integrated Three-Terminal Field-Effect Device as an Optical Signal Modulation Structure,”IEICE Transactions on Electronics, Vol. E94-C, No. 7, pp. 1173-1178, July 1, 2011.
  15. R. W. Chuang and Zhen-Liang Liao, “Integrated SiO2/SiON/SiO2 Thermo-Optical Switch Based on the Multimode Interference Effect,” Japanese Journal of Applied Physics, Vol. 49, Issue 4, pp. 04DG21-1 – 04DG21-5, April 2010.
  16. R. W. Chuang and Zhen-Liang Liao, “2 x 2 Thermo-Optic Silicon Oxynitride Optical Switch on the Integrated Multimode Interference Waveguides,” Journal of the Electrochemical Society, Vol. 157(2), pp. H149-H152, 2010.
  17. W. Y. Weng, R. W. Chuang, S. J. Chang, W. C. Lai, T. J. Hsueh, S. C. Shei, X. F. Zeng, and S. L. Wu, “GaN MSM Photodetectors with a Semi-Insulating AlInN Cap Layer and Sputtered ITO Transparent Electrodes,” Electrochemical and Solid-State Letters, Vol. 12, Issue 10, pp. H369-H372, 2009.
  18. S. P. Chang, R. W. Chuang, S. J. Chang, C. Y. Lu, Y. Z. Chiou, and S. F. Hsieh, “SurfaceHCl treatment in ZnO photoconductive sensors,” Thin Solid Films, Vol. 517, Issue 17, pp. 5050-5053, July 1, 2009.
  19. S. P. Chang, R. W. Chuang, S. J. Chang, Y. Z. Chiou, and C. Y. Lu, “MBE n-ZnO/MOCVD p-GaN heterojunction light-emitting diode,” Thin Solid Films, Vol. 517, Issue 17, pp. 5054-5056, July 1, 2009.
  20. R. W. Chuang, M. T. Hsu, Z. L. Liao, and C. C. Cheng, “Silicon Integrated Waveguide Modulator Based on a Three-Terminal Device Structure,” Japanese Journal of Applied Physics, Vol. 48, No. 4, pp. 04C117-1 – 04C117-5, April 2009.
  21. Zhen-Liang Liao and Ricky W. Chuang, “1x3 Silicon Oxynitride Tunable Optical Waveguide Attenuators Based on the Multimode Interference (MMI) Effect,” Japanese Journal of Applied Physics, Vol. 48, No. 4, Issue 2, pp. 04C118-1 – 04C118-5, April 2009.
  22. Ricky W. Chuang, Zhen-Liang Liao, Chih-Chieh Cheng, and Mao-Teng Hsu, “Silicon optical waveguide modulator incorporating a hybrid structure of transistor and p+-n -n+diode,” Journal of Crystal Growth, Vol. 311, Issue 3, pp. 833-836, January 15, 2009.
  23. K. H. Lee, R. W. Chuang, P. C. Chang, S. J. Chang, Y. C. Wang, C. L. Yu, J. C. Lin, and S. L. Wu, “Nitride-Based MSM Photodetectors with a HEMT Structure and a Low-TemperatureAlGaN Intermediate Layer,” Journal of Electrochemical Society, Vol. 155(12), pp. H959-H963, October 2008.
  24. S. P. Chang, R. W. Chuang, S. J. Chang, Y. Z. Chiou, C. Y. Lu, T. K. Lin, C. F. Kuo, and H. M .Chang, “Optical and Electrical Characteristics of ZnO Films Grown on Nitridated Si (100) Substrate with GaN and ZnO Double Buffer Layers,” IEEE J. Sel. Topics Quantum Electron., Vol. 14, No. 4, pp. 1058-1063, July/August 2008.
  25. C. C. Huang, R. W. Chuang, S. J. Chang, J. C. Lin, Y. C. Cheng, and W. J. Lin, “MOCVD Growth of InN on Si(111) with Various Buffer Layers,” Journal of Electronic Materials, Vol. 37, No. 8, pp. 1054-1057, August 2008.
  26. R. W. Chuang, P. C. Tsai, Y. K. Su, and C. H. Chu, “Improved ESD properties by combiningGaN-based light-emitting diode with MOS capacitor,” Solid-State Electronics, Vol. 52, Issue 7, pp. 1043-1046, July 2008.
  27. Yung-Feng Chen, Wei-Cheng Chen, Ricky W. Chuang, Yan-Kuin Su, and Huo-Lieh Tsai, “GaInNAs p-i-n Photodetectors with Multiquantum Wells Structure,” Japanese Journal of Applied Physics, Vol. 47, No. 4B, pp. 2982-2986, April 25, 2008.
  28. Ricky W. Chuang, Zhen-Liang Liao, Mao-Teng Hsu, Jia-Ching Liao, and Chih-Chieh Cheng, “Silicon Electro-Optic Modulator Fabricated on Silicon Substrate Utilizing the Three-Terminal Transistor Waveguide Structure,” Japanese Journal of Applied Physics, Vol. 47, No. 4B, pp. 2945-2949, April 25, 2008.
  29. Ricky W. Chuang, Zhen-Liang Liao, Huai-Tzu Chiang, and Noritaka Usami, “Functional Enhancement of Metal-Semiconductor-Metal (MSM) Infrared Photodetectors onHeteroepitaxial SiGe-on-Si Using the Anodic Oxidation /Passivation Method,” Japanese Journal of Applied Physics, Vol. 47, No. 4B, pp. 2927-2931, April 25, 2008.
  30. W. C. Chen, R. W. Chuang, Y. K. Su, and S. H. Hsu, “Controlling the nitrogen composition of InGaAsN quantum wells grown by MOVPE,” Journal of Physics and Chemistry of Solids, Vol. 69, No. 2-3, pp. 404-407, February/March 2008.
  31. Ricky W. Chuang, Rong-Xun Wu, Li-Wen Lai, and Ching-Ting Lee, “ZnO-on-GaNheterojunction light-emitting diode grown by vapor cooling condensation technique,” Applied Physics Letters, Vol. 91, pp. 231113-1-231113-3, December 6, 2007.
  32. R. W. Chuang, C. L. Yu, S. J. Chang, P. C. Chang, J. C. Lin, and T. M. Kuan, “Crystal growth and characterization of AlGaN/GaN heterostructures prepared on vicinal-cut sapphire (0001) substrates,” Journal of Crystal Growth, Vol. 308, Issue 2, pp. 252-257, October 15, 2007.
  33. R. W. Chuang, S. P. Chang, S. J. Chang, Y. Z. Chiou, C. Y. Lu, T. K. Lin, Y. C. Lin, C. F.Kuo, and H. M. Chang, “Gallium nitride metal-semiconductor-metal photodetectors prepared on silicon substrates,” Journal of Applied Physics, Vol. 102, Issue 7, pp. 073110 (4 pages), October 1, 2007.
  34. W. C. Chen, R. W. Chuang, Y. K. Su, and S. H. Hsu, “Controlling the nitrogen composition of InGaAsN quantum wells grown by MOVPE,” Journal of Physics and Chemistry of Solids, available online 31 July 2007.
  35. C. L. Yu, R. W. Chuang, S. J. Chang, P. C. Chang, K. H. Lee, and J. C. Lin, “InGaN/GaNMQW Metal-Semiconductor-Metal Photodiodes with Semi-Insulating Mg-doped GaN Cap Layers,” IEEE Photonics Technology Letters, Vol. 19, No. 11, pp. 846-848, June 1, 2007.
  36. Ricky W. Chuang and Mao-Teng Hsu, “Silicon Optical Modulators in Silicon-on-Insulator (SOI) Substrate Based on the p-i-n Waveguide Structure,” Japanese Journal of Applied Physics, Vol. 46 Part 1, No. 4B, pp. 2445-2449, April 24, 2007.
  37. Ricky W. Chuang, Zhen-Liang Liao, and Chih-Kai Chang, “Integrated Optical Beam Splitters Employing Symmetric Mode Mixing in SiO2/SiON/SiO2 Multimode Interference (MMI) Waveguides,” Japanese Journal of Applied Physics, Vol. 46 Part 1, No. 4B, pp. 2440-2444, April 24, 2007.
  38. Yan-Kuin Su, Wei-Cheng Chen, Ricky W. Chuang, Shuo-Hsien Hsu, and Bing-Yang Chen, “InGaAsN Metal-Semiconductor-Metal Photodetectors with Transparent Indium Tin OxideSchottky Contacts,” Japanese Journal of Applied Physics, Vol. 46 Part 1, No. 4B, pp. 2373-2376, April 24, 2007.
  39. P. C. Tsai, Ricky W. Chuang, and Y. K. Su, “Lifetime Tests and Junction-Temperature Measurement of InGaN Light-Emitting Diodes Using Patterned Sapphire Substrates,”IEEE/OSA Journal of Lightwave Technology, Vol. 25, No. 2, pp. 591-596, February 2007.
  40. W. C. Chen, Y. K. Su, R. W. Chuang, M. C. Tsai, K. Y. Cheng, and Y. S. Wang, “Optical investigations on the surfactant effects of Sb on InGaAsN multiple quantum wells grown by MOVPE,” Journal of Crystal Growth, Vol. 298, pp. 145-149, January 2007.
  41. S. J. Chang, C. L. Yu, R. W. Chuang, P. C. Chang, Y. C. Lin, Y. W. Jhan, and C. H. Chen, “Nitride-Based MIS-Like Photodiodes With Semiinsulating Mg-Doped GaN Cap Layers,”IEEE Sensors Journal, Vol. 6, No. 5, pp. 1043-1044, October 2006.
  42. S. J. Young, L. W. Ji, R. W. Chuang, S. J. Chang, and X. L. Du, “Characterization of ZnOmetal–semiconductor–metal ultraviolet photodiodes with palladium contact electrodes,”Semicond. Sci. Technol., Vol. 21, .No. 10, pp. 1507-1511, October 2006.
  43. Ricky W. Chuang, Yueh Jye Chiu, and Chia Lin Yu, “Iridium-based semi-transparent current spreading layer on short-period-superlattices (SPS) tunneling contact of InGaN/GaN LEDs,”Solid-State Electronics, Vol. 50, Issues 7-8, pp. 1212-1215, July-August 2006.
  44. Y. K. Su, S. H. Hsu, R. W. Chuang, S. J. Chang, and W. C. Chen, “GaInNAs metal-semiconductor-metal near-infrared photodetectors,” IEE Proceedings - Optoelectronics, Vol. 153(3), pp. 128-130, June 2006.
  45. W. C. Chen, Y. K. Su, R. W. Chuang, and S. H. Hsu, “Investigation of the optical properties of InGaAs(N):(Sb) quantum wells grown by metal organic vapor phase epitaxy,” Journal of Vacuum Science & Technology A, Vol. 24(3), pp. 591-594, May-June 2006.
  46. K. T. Liu, Y. K. Su, R. W. Chuang, S. J. Chang, and Y. Horikoshi, “Electrical and surface composition properties of phosphorus implantation in Mg-doped GaN,” Microelectronics Journal, Vol. 37 (5), pp. 417-420, May 2006.
  47. W. C. Chen, Y. K. Su, R. W. Chuang, and S. H. Hsu, “Triple luminescence peaks observed in the InGaAsN/GaAs single quantum well grown by metalorganic vapor phase epitaxy,” Jpn. J. of Appl. Phys. Part 1 - Regular Papers Brief Communications & Review Papers, Vol. 45, No. 4B, pp. 3537-3539, April 25, 2006.
  48. Y. D. Jhou, C. H. Chen, R. W. Chuang, S. J. Chang, Y. K. Su, P. C. Chang, P. C. Chen, H Hung, S. M. Wang, and C. L. Yu, “Nitride-based light emitting diode and photodetector dual function devices with InGaN/GaN multiple quantum well structures,” Solid-State Electronics, Vol. 49, No. 8, pp. 1347-1351, August 2005.
  49. K. T. Liu, Y. K. Su, R. W. Chuang, S. J. Chang, and Y Horikoshi, “C and N co-implantation in Be-doped GaN,” Semiconductor Science and Technology, Vol. 20, No. 8, pp. 740-744, August 2005.
  50. C. K. Wang, R. W. Chuang, S. J. Chang, Y. K. Su, S. C. Wei, T. K. Lin, T. K. Ko, Y. Z.Chiou and J. J. Tang, “High temperature and high frequency characteristics of AlGaN/GaNMOS-HFETs with photochemical vapor deposition SiO2 layer,” Mater. Sci. Eng. B - Solid State Materials for Advanced Technology, Vol. 119, No. 1, pp. 25-28, May 2005.
  51. S. H. Hsu, Y. K. Su, R. W. Chuang, S. J. Chang, W. C. Chen and W. R. Chen, “Study of electronic properties by persistent photoconductivity measurement in GaxIn1-xNyAs1-y grown by MOCVD,” Jpn. J. Appl. Phys. Part 1 - Regular Papers, Short Notes & Review Papers, Vol. 44, No. 4B, pp. 2454-2457, April 2005.
  52. C. H. Liu, R. W. Chuang, S. J. Chang, Y. K. Su, L. W. Wu and C. C. Lin, “Improved light output power of InGaN/GaN MQW LEDs by lower temperature p-GaN rough surface,” Mater. Sci. Eng. B - Solid State Materials for Advanced Technology, Vol. 112, No. 1, pp. 10-13, September 2004.
  53. C. H. Liu, R. W. Chuang, S. J. Chang, Y. K. Su, C. H. Kuo, J. M. Tsai and C. C. Lin, “InGaN/GaN MQW blue LEDs with GaN/SiN double buffer layers,” Mater. Sci. Eng. B - Solid State Materials for Advanced Technology, Vol. 111, No. 2-3, pp. 214-217, August 25, 2004.
  54. Chin C. Lee and Ricky W. Chuang, “A dry electromigration process for fabricating deep optical channel waveguides on glass and their characterization,” Materials Science and Engineering B - Solid State Materials for Advanced Technology, Vol. 111, Issue 1, pp. 40-48, August 15, 2004.
  55. Chin C. Lee, Ricky W. Chuang and Dong W. Kim, “A fluxless fabrication process producingSn-rich Bi-Sn joints with high melting temperature,” Materials Science and Engineering A - Structural Material Properties, Microstructure, and Processing, Vol. 374, Issues 1-2, pp. 280-284, June 15, 2004.
  56. Ricky W. Chuang, Dongwook Kim, Jeong Park, and Chin C. Lee, “A fluxless process of producing tin-rich gold-tin joints in air,” IEEE Trans. Components and Packaging Technology, Vol. 27, No. 1, pp. 177-181, March 2004.
  57. S. V. Bandara, S. D. Gunapala, J. K. Liu, S. B. Rafol, D. Z. Ting, J. M. Mumolo, R. W. Chuang, T. Q. Trinh, J. H. Liu, K. K. Choi, “Four-band quantum well infrared photodetectorarray,” Infrared Physics & Technology, Vol. 44, Issues 5-6, pp. 369-375, October-December 2003.
  58. Chin C. Lee and Ricky W. Chuang, “Fluxless non-eutectic joints fabricated using gold-tin multilayer composite,” IEEE Transactions on Components and Packaging Technologies, vol.26, issue 2, pp. 416-422, June 2003.
  59. Ricky W. Chuang and Chin C. Lee, “Silver-indium joints produced at low temperature for high temperature devices,” IEEE Transactions on Components and Packaging Technologies, vol. 25, issue 3, pp. 453-458, September 2002.
  60. Ricky W. Chuang and Chin C. Lee, “Low loss deep glass waveguides produced with dry silver electromigration process,” IEEE/OSA Journal of Lightwave Technology, vol. 20, no. 8, pp. 1590-1597, August 2002.
  61. Ricky W. Chuang and Chin C. Lee, “High temperature non-eutectic indium-tin joints fabricated by a fluxless process,” Thin Solid Films, vol. 414, issue 2, pp. 175-179, July 22, 2002.
  62. W. Wu, C. C. Lee, C. S. Tsai, J. Su, W. So, H. J. Yoo, and R. Chuang, “Fabrication of ferromagnetic/semiconductor waveguide structures and application to microwave bandstopfilter,” J. Vac. Sci. Technol. A - Vacuum Surfaces and Films, Vol. 19, No. 4, pp. 1758-1762, July-August 2001.
  63. W. Wu, C. C. Lee, C. S. Tsai, J. Su, H. J. Yoo, Ricky Chuang, H. Hopster, “Epitaxially grown Fe/Ag ultra thin films on GaAs and their application to widewand microwave notch filter,”Journal of Crystal Growth, vol. 225, issue 2-4, pp. 534-539, May 2001.
  64. William W. So, Selah Choe, Ricky Chuang, and Chin C. Lee, “An effective diffusion barrier metallization process on copper,” Thin Solid Films, Vol. 376, No. 1-2, pp. 164-169, November 1, 2000.
  65. Y. S. Zhao, C. L. Jensen, R. W. Chuang, H. P. Lee, Z. J. Dong, and R. Shih, “A simple and reliable wafer-level electrical probing technique for III-Nitride light-emitting epitaxial structures,” IEEE Electron Device Lett., Vol. 21, No. 5, pp. 212-214, May 2000.
  66. D. L. Hibbard, R. W. Chuang, Y. S. Zhao, C. L. Jensen, H. P. Lee, Z. J. Dong, R. Shih, and M. Bremser, “Thermally induced variation in barrier height and ideality factor of Ni/Au contacts to p-GaN,” J. Electronic Materials, Vol. 29, No. 3, pp. 291-296, March 2000.
  67. R. W. Chuang, A. Q. Zou, H. P. Lee, Z. J. Dong, F. F. Xiong, and R. Shih, “Contact resistance of InGaN/GaN light emitting diodes grown on the production model multi-wafer MOVPE reactor,” MRS Internet Journal of Nitride Semiconductor Research 4: Art. No. G6.42, Suppl. 1, 1999.
Conference
more
less
  1. Ricky W. Chuang, Han-Lin Chen, Yu-Chun Chang, Yu-Shun Chiu, “Long period waveguide grating filters on lithium niobite,” Proceedings Volume 12424, Integrated Optics: Devices, Materials, and Technologies XXVII; 124241Q (17 March 2023); https://doi.org/10.1117/12.2655507.
  2. Ricky W. Chuang, Tsung-Han Tsai, Wei-Che Chuang, Cheng-Liang Huang, “Investigation of optical responsivity of BiFeO3-based photodetectors equipped with different electrode patterns,” Proceedings Volume 12422, Oxide-based Materials and Devices XIV; 124220X (16 March 2023); https://doi.org/10.1117/12.2655140.
  3. Ricky W. Chuang, Zhe-Ya Zheng, Cheng-Liang Huang, Bo-Liang Liu, "Vertically-waveguide-coupled BaTiO3-based microdisk optical resonator equipped with the functionality of resistive random-access memory (ReRAM)," Proc. SPIE 11689, Integrated Optics: Devices, Materials, and Technologies XXV, 116891Q (5 March 2021); doi: 10.1117/12.2579129.
  4. Ricky W. Chuang, Yen-Ling Lee, Yu-Chun Huang, Yao-Jen Lee, Chun-Jung Su, "Investigating the applicability of ferroelectric hafnium-zirconium-oxidebased nanowire transistors in silicon photonics," Proc. SPIE 11691, Silicon Photonics XVI, 1169114 (5 March 2021); doi: 10.1117/12.2579253.
  5. T.-Z. Hong, W.-H. Chang, A. Agarwal, Y.-T. Huang, C.-Y. Yang, T.-Y. Chu, H.-Y. Chao, Y. Chuang, S.-T. Chung, J.-H. Lin, S.-M. Luo, C.-J. Tsai, M.-J. Li, X.-R. Yu, N.-C. Lin, T.-C. Cho, P.-J. Sung, C.-J. Su, G.-L. Luo, F.-K. Hsueh, K.-L. Lin, H. Ishii, T. Irisawa, T. Maeda, C.-T. Wu, W. C.-Y. Ma, D.-D. Lu, K.-H. Kao, Y.-J. Lee, H. J.-H. Chen, C.-L. Lin, R. W. Chuang, K.-P. Huang, S. Samukawa, Y.-M. Li, J.-H. Tarng, T.-S. Chao, M. Miura, G.-W. Huang, W.-F. Wu, J.-Y. Li, J.-M. Shieh, Y.-H. Wang, W.-K. Yeh, “First Demonstration of heterogenous Complementary FETs utilizing Low-Temperature (200 °C) Hetero-Layers Bonding Technique (LT-HBT),” 2020 IEEE International Electron Devices Meeting (IEDM) Virtual Conference, December 12-18, 2020, pp. 15.5.1-15.5.4.
  6. Ricky W. Chuang and Chin C. Lee, “Deep low-loss multimode channel waveguides fabricated on glass substrates using dry silver film electromigration technique,” in Technical Digest OSA Integrated Photonics Research, pp. IMC4-1 - IMC4-4, 2001.
  7. Ricky W. Chuang, Selah Choe, and Chin C. Lee, “A Fluxless Sn-In bonding process achieving high re-melting temperature,” in Proc. 51st IEEE Electronic Components and Technology Conference, 29 May-1 June 2001, pp. 671-674.
  8. Ricky W. Chuang and Chin C. Lee, “Low loss deep glass waveguides produced with dry silver electromigration process,” in Proc. 51st IEEE Electronic Components and Technology Conference, 29 May-1 June 2001, pp. 655-658.
  9. Selah Choe, Ricky W. Chuang, and Chin C. Lee, “Fluxless Sn-Bi-Au bonding process using multilayer design,” in Proc. 51st IEEE Electronic Components and Technology Conference,29 May-1 June 2001, pp. 486-488.
  10. W. Wu, C. S. Tsai, C. C. Lee, H. J. Yoo, R. W. Chuang, H. Hospter, “Ferromagnetic resonance and magnetic anisotropy in epitaxial Fe/Ag thin films on GaAs (100),” American Vacuum Society 47th International Symposium: Vacuum Thin Films and Surfaces/Interfaces and Processing (NANO-6), Boston, Massachusetts, October 2-6, 2000.
  11. C. S. Tsai, Wei Wu, C. C. Lee, J. Su, W. So, H. J. Yoo, and R. W. Chuang, “Ferromagnetic resonance study of ultrathin iron films and application to microwave bandstop devices,” 5th International Conference on Nanostructured Materials (NANO2000), Sendai, Japan, August 20-25, 2000.
  12. Ricky. W. Chuang and Chin C. Lee, “A dry silver electromigration process to fabricate optical waveguides on glass substrates,” in Proc. 50th IEEE Electronic Components and Technology Conference, 21-24 May 2000, pp. 1511-1514.
  13. W. W. So, S. Choe, R. W. Chuang, and Chin C. Lee, “A barrier metallization technique on copper substrates for soldering applications,” in Proc. 50th IEEE Electronic Components and Technology Conference, 21-24 May 2000, pp. 855-860.
  14. R. W. Chuang, A. Q. Zhou, H. P. Lee, Z. J. Dong, F. F. Xiong, R. Shih, M. Bremser, and H.Juergensen, “Contact resistance of InGaN/GaN light emitting diodes grown on the production model multi-wafer MOVPE reactor,” in Proc. Materials Research Society Symposium, vol. 537, G 6.42, 1999.
  15. A. Q. Zou, R. W. Chuang, H. P. Lee, Z. J. Dong, F. F. Xiong, and R.Shih,“Contact resistance of InGaN/GaN light emitting diode,” in 31st SCCAVS Symposium, 1998.
Patent
more
less
  1. Ricky W. Chuang and Mao-Teng Hsu, “CAMERA AND THE GATHERING LENS THEREOF” ,3/11/2014 –5/17/2032
Others
more
less
  1. The enhancement of the quantum efficiency of silicon thin-film based solar cells by incorporating periodic diffractive grating structures, 11/01/2007  10/31/2008
  2. Advanced silicon and glass based photonics devices and their hybrid integration (2/3), 8/1/2007 - 7/31/2008
  3. Distributed Bragg reflector (DBR) Er/Yb co-doped glass waveguide laser fabricated by dry silver ion-exchange electromigration, 8/1/2005  10/31/2006
  4. Applying nanoimprinting technique to selective InGaN quantum dot (QD) growth and its relevant QD-based device applications in optoelectronics, 8/1/2004  10/31/2005
  5. Gallium Nitride Based Optoelectronic Integrated Circuits, 9/1/2003  7/31/2004
  6. The development of novel III-nitride growth techniques using metal-organic vapor phase epitaxy (MOVPE) for laser diodes fabrication, 5/1/2005 - 4/30/2007
  7. Monolithic integration of field emitter arrays and thin-film transistors on silicon or glass substrate and its potential application in flat-panel displays, 04/2004  09/2004
  8. The fabrication of novel nitride based LEDs with high transparency (reflection) p-type ohmic contact, 8/1/2003 7/31/2004
Projects
  1. Surface plasmon-enhanced full-spectrum photodetectors fabricated on nanowire field-effect transistor chip platform 08/01/2022~07/31/2023
  2. Nanowire field-effect transistors-based Full-spectrum photodetector realized by the bonding method08/01/2021~07/31/2022
  3. Development of Large-Sized Interactive Display Systems Based on Optical Sensor with Multiple Color Sensing Function08/01/2019~07/31/2021
  4. Research and Development of the Metal-Oxide-Based Resistive Random-Access Memory (RRAM) Devices with Electrical Write-in and Optical Readout Dual Functionality and Multi-purpose Sensing Module 08/01/2018 ~07/31/2019
  5. Investigative Analysis of Light-Matter Interactions in Metal[1]Oxide-Based Resistive Random-Access Memory (RRAM) Devices08/01/2017~07/31/2018
  6. Constructing silicon integrated waveguide devices based on the 3D through-silicon-via (TSV) and through-silicon photonic via (TSPV) technologies, 08/01/2016 – 7/31/2017
  7. Evaluation of Optical Linearities and Nonlinearities of Upconversion Phosphors along with Their Application in All-Optical Switch], 8/1/2014 – 7/31/2015
  8. All-optical computation chips integrated with up-conversion phosphors on silicon-on- insulator(SOI) substrates 08/01/2012 – 07/31/2013
  9. The development of cost-effective indoor luminescent systems of white LEDs with low color temperature, high color rendering index and high luminous efficacy, 1/1/2011-12/31/2011
  10. Device integration of highly efficient silicon photonics devices on silicon on insulator (SOI) substrates, 8/1/2009 - 7/31/2012
  11. The enhancement of the quantum efficiency of silicon thin-film based solar cells by incorporating periodic diffractive grating structures, 11/01/2007  10/31/2008
  12. Advanced silicon and glass based photonics devices and their hybrid integration (2/3), 8/1/2007 - 7/31/2008
  13. Distributed Bragg reflector (DBR) Er/Yb co-doped glass waveguide laser fabricated by dry silver ion-exchange electromigration, 8/1/2005  10/31/2006
  14. Applying nanoimprinting technique to selective InGaN quantum dot (QD) growth and its relevant QD-based device applications in optoelectronics, 8/1/2004  10/31/2005
  15. Gallium Nitride Based Optoelectronic Integrated Circuits, 9/1/2003  7/31/2004
  16. The development of novel III-nitride growth techniques using metal-organic vapor phase epitaxy (MOVPE) for laser diodes fabrication, 5/1/2005 - 4/30/2007
  17. Monolithic integration of field emitter arrays and thin-film transistors on silicon or glass substrate and its potential application in flat-panel displays, 04/2004  09/2004
  18. The fabrication of novel nitride based LEDs with high transparency (reflection) p-type ohmic contact, 8/1/2003 7/31/2004
  19. Development of multiple input light sensing panel systems for large-scale interactive displays
Students
Current Academic Year Lab Members
Master
Po-Cheng Chen
Yu-Min Tu
Chen-Gang Lee
Ciao-Cheng Cheng
Meng-Chen Lin
Yi-Jhih Guo
Siang-Yuan Hsiao
Chih-Wen Chen
Cian-Yu Luo
Yen-Yu Lin
Zih-Hao Huang
Pin-Zhi Chen
Yu-Chen Li
Graduates of all Previous Years
Ph.D.
98
Zhen-Liang Liao
103
Mao-Teng Hsu
Master
94
Boon-Keat Toh   Yueh-Jye Chiu
95
Yuh-Seng Chang   Mao-Teng Hsu   Chih-Kai Chang
96
Jia-Ching Liao   Huai-Tzu Chiang
97
Tao-Yuan Lin   Zih-Syun Shen   Chih-Chieh Cheng
98
Ting-Kai Hung   Wei-Bin Huang   Hsin-Hsu Chen    Jia-Seng Liao
99
Yu-Chun Chang   Cheng-Chun Chung   Chung-Jen Ma
100
Cheng-Ye Tsai   Kuei-Fen Li   Hui-Ju Chen
101
Rong-Wei Gong   Guo-Sian Wang   Xiu-Feng Ye
102
Wei-Ze Hua   Chih-Han Chang
103
Sheng-Hao Chang   Yong-Yao Xiao   Wei-Ming Hou
104
Pei-Jen Sun   Yu-Hsin Chang   Jia-Hung Ou
105
Yi-Hsiang Hsu   Ling-Wei Chen   Jian-Wei Sie
106
Chia-Hang Cheng   Cheng-Yu Hsieh   Chih-Wei Cheng   Jing-Xiang Shi
107
lin hung Lin   chia hung Hung   ming cheng Huang   hui ping Chien
108
You-Kui Hu   Shi-Jie Liao   Guan-Lun Fu   Yu-Wei Zhuo
109
Yan-Ling Lee   Wen-Han Hsieh   Zhe-Ya Zheng
110
Yu-Hsin Huang   Bo-Liang Liu   Jing Tsai   Yu-Chi Zhang
111
Yu-Xuan Hong   Tsung-Han Tsai   An-Kai Shih   Chung-Chieh ShIh   Shang-Tsuen Lin   Wei-Jie Chen   Han-Lin Chen   Feng-Jui Hsu
112
Wei-Che Chuang   Hao-Wen Yen   Tung-Shen Yu   Yu-Shun Chiu   Jyong-Siang Wang   Kai-Wei Tai   Yu-Jiun Lin   Yu-Chun Chang
Honors
  1. Winner of the 9th Annual 2001 Motorola - Institute of Electrical and Electronics Engineers (IEEE) Graduate Student Fellowship for Research in Electronics Packaging
  2.       Spring 2001 University of California at Irvine Regents’ Dissertation Quarter Fellowship
  3.       Summer 2000 University of California at Irvine Regents' Fellowship
  4.       Summer 1999 University of California at Irvine Regents' Fellowship